ID:10294 VHDL Type Declaration error at <location>: element type for array type cannot be unconstrained

CAUSE: In a type declaration at the specified location in a VHDL design file (.vhd), you specified an element type for an array type. However, the element type you specified is an unconstrained array type. You cannot declare an array type with an unconstrained element type. For example, if you define ex1 as an unconstrained array type using the following type declaration:
TYPE ex1 IS ARRAY (NATURAL RANGE<>) OF BIT;
You cannot use the following type Declaration, which specifies ex1 as the element type for the array type ex2:
TYPE ex2 IS ARRAY (NATURAL RANGE<>) OF ex1;

ACTION: Add a range constraint to the element type, or specify a different element type altogether. In the previous example, you must add a range to the type declaration for ex1, or replace ex1 in the type declaration for ex2 with a type that is not an unconstrained array type.