ID:10058 Verilog HDL Compiler Directive error at <location>: predefined text macro "<name>" cannot be undefined

CAUSE: In a Verilog Design File (.v) at the specified location, you used an `undef Compiler Directive for the specified macro name; however, the specified macro is a predefined macro and cannot be undefined.

ACTION: Remove the `undef directive.