ID:10075 Verilog HDL Case Statement error at <location>: generated case expression is not constant

CAUSE: In a Case Statement at the specified location in a Verilog Design File (.v), you used a generated case expression that is not a constant.

ACTION: Edit the case expression to be a compile-time constant function.