ID:10112 Ignored design unit "<name>" at <location> due to previous errors

CAUSE: In a Verilog Design File (.v) at the specified location, Quartus Prime Integrated Synthesis cannot continue processing the specified design unit due to previously listed error messages.

ACTION: Correct the previous errors to continue processing the specified design unit.