ID:10901 SystemVerilog error at <location>: illegal assignment pattern - <string>

CAUSE: In an expression at the specified location in a SystemVerilog Design File (.sv), you incorrectly used an assignment pattern feature. The string argument provides more details.

ACTION: Fix the problem identified by the message text.