ID:13837 VHDL Wait Statement error at <location>: Wait Statement must contain condition clause with UNTIL keyword

CAUSE: In a Wait Statement at the specified location in a VHDL Design File (.vhd), you did not use a condition clause with the UNTIL keyword.

ACTION: Change the Wait Statement so it contains a condition clause with the UNTIL keyword.