ID:13611 VHDL error at <location>: architecture "<name>" does not exist for entity "<name>"

CAUSE: In a configuration declaration, binding indication, or component instantiation at the specified location in a VHDL design file (.vhd), you bound the specified architecture to the specified entity. However, Integrated Synthesis cannot find the architecture, possibly because its declaration was not included in the Quartus Prime project.

ACTION: Check the spelling of the entity and architecture names. Declare the architecture if you forgot to declare it, or make sure you include the VHDL Design File containing the architecture in the Quartus Prime project.