ID:13902 VHDL Interface List error at <location>: identifier "<name>" must be a <constant or signal>

CAUSE: In a generic Interface List at the specified location in a VHDL Design File (.vhd), you used the specified identifier, which is not a constant. A generic Interface List must consist entirely of interface constant declarations.

ACTION: Change the identifier so it is a constant.

CAUSE: In a port Interface List at the specified location in a VHDL Design File (.vhd), you used the specified identifier, which is not a signal. A port Interface List must consist entirely of interface signal declarations.

ACTION: Change the identifier so it is a signal.