ID:13737 VHDL aggregate error at <location>: target aggregate for Variable Assignment Statement cannot contain OTHERS choice

CAUSE: In a VHDL Design File (.vhd) at the specified location, you used an aggregate in a Variable Assignment Statement. However, you used the OTHERS choice in the aggregate. An aggregate for a Variable Assignment Statement cannot contain the OTHERS choice.

ACTION: Remove the OTHERS choice from the aggregate.