ID:13778 VHDL package error at <location>: package "<name>" is used but not declared

CAUSE: In a Package Body at the specified location in a VHDL Design File (.vhd), you used the specified package. However, you did not declare the package in a Package Declaration. You must declare a package before you can use it.

ACTION: Replace the package with a previously defined package, or define the package in a Package Declaration. However, do not define a package with the name you used in the Package Body if you already used the name for a different construct.