ID:13722 VHDL error at <location>: elements in exponent operations must be constant

CAUSE: In a VHDL Design File (.vhd) at the specified location, you used non-constant elements in an exponent operation. However, an exponent operation's elements must be constant.

ACTION: Change the exponent operation's elements so they are constant.