ID:13749 VHDL Process Statement error at <location>: Process Statement must contain either a sensitivity list or a Wait Statement

CAUSE: In a Process Statement at the specified location in a VHDL Design File (.vhd), you did not use either a sensitivity list or a Wait Statement. However, the Process Statement must contain a sensitivity list or a Wait Statement.

ACTION: Add either a sensitivity list or a Wait Statement to the Process Statement.