ID:13748 VHDL Process Statement error at <location>: Process Statement cannot contain both a sensitivity list and a Wait Statement

CAUSE: In a Process Statement at the specified location in a VHDL Design File (.vhd), you used both a sensitivity list and a Wait Statement. However, because the sensitivity list acts as an implicit Wait Statement, the Process Statement cannot contain both a sensitivity list and a Wait Statement.

ACTION: Remove either the sensitivity list or the Wait Statement from the Process Statement.