ID:13786 VHDL error at <location>: object "<name>" is used but not declared

CAUSE: In a VHDL Design File (.vhd) at the specified location, you used the specified object. However, you did not declare the object. This error can occur because you did not specify a declaration for the object, you used an incorrect object name in a declaration, or you placed the declaration in an incorrect position (for example, you may have placed the Subprogram Declaration for a subprogram after the call to the subprogram in the VHDL Design File). You must declare the object before you can use it.

ACTION: Add or correct the declaration for the object, and make sure the declaration is in the proper place in the VHDL Design File.