ID:13888 VHDL Interface Declaration error at <location>: Interface File Declaration cannot contain mode or default expression

CAUSE: In an Interface File Declaration at the specified location in a VHDL Design File (.vhd), you used a mode and/or default expression. However, an Interface File Declaration cannot contain a mode or default expression.

ACTION: Remove the mode and/or default expression from the Interface File Declaration.