ID:17630 VHDL error at <location>: <string> was created using a later vhdl_version_number, forward compatibility is not supported

CAUSE: Quartus Prime Integrated Synthesis generated the specified error message for the specified location in a Design File.

ACTION: Fix the problem identified by the message text. A future version of the Quartus Prime software will provide more extensive Help for this error message.