ID:17586 VHDL error at <location>: in an array aggregate expression, non-locally static choice is allowed only if it is the only choice of the only association

CAUSE: Quartus Prime Integrated Synthesis generated the specified error message for the specified location in a Design File.

ACTION: Fix the problem identified by the message text. A future version of the Quartus Prime software will provide more extensive Help for this error message.