ID:13485 Verilog Defparam Statement error at <location>: Quartus Prime Integrated Synthesis cannot resolve defparam identifier "<name>" to a parameter on a specific instance in the current module

CAUSE: In a Defparam Statement at the specified location in a Verilog Design File (.v), you used the specified identifier to specify a parameter value for a Module Instance. However, Quartus Prime Integrated Synthesis could not match the identifier to a specific parameter on an instance in the current module. As a result, the defparam identifier was ignored.

ACTION: Check the spelling of the identifier. If it is a hierarchical identifier, verify that it specifies the correct path to a parameter on an instance in the current module.