ID:13330 Verilog HDL Case Statement error: generated case item expression at <location> is not constant

CAUSE: In a Case Statement at the specified location in a Verilog Design File (.v), you used a generated case item expression that is not a constant. Case Statements must use constant values.

ACTION: Rewrite the case item expression of the Case Statement to be a compile-time constant function.