ID:13988 SystemVerilog error at <location>: arguments with default values must be declared by ANSI style declarations

CAUSE: Quartus Prime Integrated Synthesis generated the specified error message for the specified location in a Design File.

ACTION: Fix the problem identified by the message text. A future version of the Quartus Prime software will provide more extensive Help for this error message.