ID:274033 Can't find port "<name>" in instance "<name>" of module "<name>"

CAUSE: The Quartus Prime software cannot find the specified port in the specified module in a primitives already used by the Quartus Prime software.

ACTION: No action is required. To avoid receiving this message in the future, make sure the Verilog or VHDL design files do not use WYSIWYG primitive names used by the Quartus Prime software and that you specify a correct Library Mapping File.