ID:15150 datain input port with value <number> of the GXB transmitter channel atom "<name>" must be the same width as CHANNEL_WIDTH parameter with value <number>

CAUSE: The specified gigabit transceiver block (GXB) transmitter channel atom has a datain input port value that does not have the same width as the value of the CHANNEL_WIDTH parameter. However, the datain input port must have the same value as the CHANNEL_WIDTH parameter. This message can occur when you instantiate or edit a megafunction directly in a text file rather than using the MegaWizard Plug-In Manager .

ACTION: Modify the design so that the datain input port of the GXB transmitter channel atom is the same as the value of the CHANNEL_WIDTH parameter.