ID:127000 Can't read Memory Initialization File or Hexadecimal (Intel-Format) File <name> for ROM instance <name>. If the file exists, it is not in correct format.

CAUSE: You implemented a ROM logic that requires an initial memory content file, specifically, a Memory Initialization File (.mif) or Hexadecimal (Intel-Format) File (.hex), which is needed to initialize the ROM. However, the Quartus Prime Compiler cannot find or read the MIF or HEX File because either the file does not exist, or it does not have the correct format, or you did not specify its correct directory path.

ACTION: Please specify the location, including the file name and extension, of the MIF or HEX File in the megafunction's LPM_FILE parameter, or the INIT_FILE or INIT_FILEX parameter for a WYSIWYG primitive. If the MIF or HEX File is in a directory other than the project directory, specify the absolute path. If the file does exist, please check its format and make sure it's correct.