Read SDC File Command

You access this command by double-clicking Read SDC File in the Tasks pane in the Timing Analyzer.

Reads existing Synopsys Design Constraints File (.sdc) Definition— with all current constraints and exceptions— that are specified in user-defined order in the Intel Quartus Prime Settings File (.qsf) Definition. If a Synopsys® Design Constraints File is not specified in the Intel® Quartus® Prime Settings File, the Timing Analyzer reads a default Synopsys® Design Constraints File named <revision>.sdc. This command also produces the SDC File List report, which lists all Synopsys® Design Constraints Files in the current design.

Note:

If you access the Read SDC File command from the Constraints menu in the Timing Analyzer, you must select an Synopsys® Design Constraints File from your local disk.

Scripting Information

Keyword: read_sdc

Settings: <filename>