AHDL Function Prototype (port name and order also apply to Verilog HDL)

The following AHDL function prototype is located in the AHDL Include File (.inc) Definitionlpm_clshift.inc in the <Intel® Quartus® Prime installation directory>\libraries\megafunctions directory.

FUNCTION lpm_clshift (

       aclr,

       clken,

       clock,

       data[LPM_WIDTH-1..0],

       direction,

       distance[LPM_WIDTHDIST-1..0]

)

WITH (

       LPM_PIPELINE,

       LPM_SHIFTTYPE,

       LPM_WIDTH,

       LPM_WIDTHDIST

)

RETURNS (

       overflow,

       result[LPM_WIDTH-1..0],

       underflow

);