VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) Definition ALTERA_MF_COMPONENTS.vhd in the <Intel® Quartus® Prime installation directory>\libraries\vhdl\altera_mf directory.

component altufm_osc

        generic (

                osc_frequency   :       natural := 180000;

                lpm_hint        :       string := "UNUSED";

                lpm_type        :       string := "altufm_osc"

        );

        port(

                osc     :       out std_logic;

                oscena  :       in std_logic

        );

end component;