all_clocks (::quartus::sdc)

The following table displays information for the all_clocks Tcl command:

Tcl Package and Version

Belongs to ::quartus::sdc 1.5

Syntax all_clocks [-h | -help] [-long_help]
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
Description
Returns a collection of all clocks in the design.
Example Usage
project_open chiptrip
create_timing_netlist
foreach_in_collection clk [all_clocks] {
	puts [get_clock_info -name $clk]
}
delete_timing_netlist
project_close
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful
TCL_ERROR 1 ERROR: Timing netlist does not exist. Use create_timing_netlist to create a timing netlist.