ID:10320 VHDL aggregate error at <location>: choice "<name>" must belong to index subtype of array aggregate

CAUSE: In an array aggregate at the specified location in a VHDL Design File (.vhd), you used the specified choice. However, the choice does not belong to the array aggregate's index subtype. The choice in an array aggregate must belong to the aggregate's index subtype.

ACTION: Change the choice so belongs to the array aggregate's index subtype.