ID:10992 VHDL Component Instantiation error at <location>: failed to elaborate instance "<name>" of component "<name>", which was bound to primary unit "<name>" - check the primary and/or incremental bindings

CAUSE: In a VHDL component instantiation statement at the specified location in a VHDL Design File (.vhd), you instantiated the specified instance of the specified component, which was bound to the specified design unit with a primary binding and possibly an incremental binding. There were one or more errors during the elaboration of this bound instance.

ACTION: Use the previous error messages to identify problems with the bindings you have specified for this instance. This message is followed by additional messages that indicate the primary and incremental bindings that apply to this instance.