ID:10558 VHDL error at <location>: cannot associate formal port "<name>" of mode "<text>" with an expression

CAUSE: In a VHDL Design File (.vhd) at the specified location, you associated the specified formal port with an expression. However, the formal port has the specified mode, which is not IN. Only a formal port with mode IN can be associated with expressions.

ACTION: Associate a single port or signal with the formal port, or change the formal port's mode to IN.