ID:13806 VHDL syntax error at <location> near text <text>

CAUSE: In a VHDL Design File (.vhd), a syntax error occurred at or near the specified text. For example, a keyword is misspelled or required punctuation such as a semicolon or parenthesis is missing.

ACTION: Check for syntax errors in the VHDL Design File. The error message will report possible expected syntactical elements. Refer to the IEEE Std 1076-1993 IEEE Standard VHDL Language Reference Manual for information on the correct syntax for VHDL constructs.