ID:13905 VHDL error at <location>: can't read value of interface object "<name>" of mode OUT

CAUSE: In a VHDL Design File (.vhd) at the specified location, you assigned an interface object of mode OUT to an object. However, Quartus Prime Integrated Synthesis cannot read the value of an interface object of mode OUT that you assign to an object.

ACTION: Change the mode of the interface object to IN, INOUT, BUFFER, or LINKAGE. Make sure that all other requirements for reading a value of an interface object of mode IN, INOUT, BUFFER, or LINKAGE are met.