::quartus::design

The following table displays information for the ::quartus::design Tcl package:

Tcl Package and Version ::quartus::design 1.0
Description
This package contains the set of Tcl functions for manipulating databases including the assignments database. Using this package makes it possible to create instance assignments without modifying the Quartus Prime Settings File (.qsf).
Availability
This package is loaded by default in the following executable:

    quartus_cdb

This package is available for loading in the following executables:

    qacv
    qpro
    qpro_sh
    quartus
    quartus_fit
    quartus_map
    quartus_pow
    quartus_sh
    quartus_sta
    quartus_syn
Tcl Commands
design::commit_design
design::convert_partition
design::create_assignment
design::delete_assignments
design::disable_assignments
design::enable_assignments
design::export_design
design::export_partition
design::extract_metadata
design::get_assignment_info
design::get_assignment_names
design::get_assignments
design::get_entity_names
design::get_instances
design::import_design
design::import_partition
design::list_valid_snapshot_names
design::load_design
design::report_assignments
design::set_assignment_info