Tool name

Specifies the EDA tool you are using for simulation.

Scripting Information

Keyword: eda_simulation_tool

Settings: Active-HDL (Verilog) | Active-HDL (VHDL) | ModelSim® (Verilog) | ModelSim® (VHDL) | ModelSim®-Intel FPGA Edition (Verilog) | ModelSim®-Intel FPGA Edition (VHDL) | NC-Verilog (Verilog) | NC-VHDL (VHDL)| PrimeTime (Verilog)| PrimeTime (VHDL)| QuestaSim (Verilog)| QuestaSim (VHDL) | VCS | VCS MX (Verilog) | VCS MX (VHDL) | Riviera-PRO (Verilog)| Riviera-PRO (VHDL) | Xcelium (Verilog)| Xcelium (VHDL)| "<None>"*

*default