Reserved Words in SystemVerilog

accept_on

export

ref

alias

extends

restrict

always_comb

extern

return

always_ff

final

s_always

always_latch

first_match

s_eventually

assert

foreach

s_nexttime

assume

forkjoin

s_until

before

global s_until_with

bind

iff

sequence

bins

ignore_bins

shortint

binsof

illegal_bins

shortreal

bit

implies

solve

break

import

static

byte

inside

string

chandle

int

strong

checker

interface

struct

class

intersect

super

clocking

join_any

sync_accept_on

const

join_none

sync_reject_on

constraint

let

tagged

context

local

this

continue

logic

throughout

cover

longint

timeprecision

covergroup

matches

timeunit

coverpoint

modport

type

cross

new

typedef

dist

nexttime

union

do

null

unique

endchecker

package

unique0

endclass

packed

until

endclocking

priority

until_with

endgroup

program

untypted

endinterface

property

var

endpackage

protected

virtual

endprogram

pure

void

endproperty

rand

wait_order

endsequence

randc

weak

enum

randcase

wildcard

eventually

randsequence

with

expect

reject_on

within