Start Compilation Command (Processing Menu)

You access this command by clicking Processing > Start Compilation.

Starts a full compilation of the current design.