get_source_assignment_info (::quartus::synthesis_report)

The following table displays information for the get_source_assignment_info Tcl command:

Tcl Package and Version

Belongs to ::quartus::synthesis_report 1.0

Syntax get_source_assignment_info [-h | -help] [-long_help] [-entity] [-from] [-instance] [-library] [-name] [-to] [-value]
 
<entry_ref>
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
-entity Get the entity
-from Get the from value
-instance Get the instance
-library Get the library
-name Get the name
-to Get the to value
-value Get the value
<entry_ref> Entry object
Description
                Get info on source assignment
Example Usage
get_source_assignment_info		
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful
TCL_ERROR 1 ERROR: Can't read compiler database. Run the Analysis and Synthesis (quartus_map) successfully before using this command.
TCL_ERROR 1 ERROR: You must open a project before you can use this command.