::quartus::project

The following table displays information for the ::quartus::project Tcl package:

Tcl Package and Version ::quartus::project 4.0
Description
This package contains the set of Tcl functions for making
project-wide assignments, including clock assignments.

In previous versions of this package, the full path of
the source file assignment was returned when you accessed
the assignment through the "get_global_assignment" or
"get_all_global_assignments" command.

In version 4.0 of this package, the actual value of the source file
assignment stored in the Quartus Prime Settings File (.qsf)
is returned. To get the resolved full path of the file,
use the "resolve_file_path" command. For more information
about resolving file names and view an example, type
"resolve_file_path -long_help".
Availability
This package is loaded by  default in the following executables:

    hdb_debug
    qpro_sh
    quartus_asm
    quartus_cdb
    quartus_design
    quartus_drc
    quartus_eda
    quartus_fit
    quartus_idb
    quartus_ipd
    quartus_ipgenerate
    quartus_map
    quartus_sh
    quartus_si
    quartus_sim
    quartus_sta
    quartus_stp
    quartus_syn
Tcl Commands
create_base_clock
create_relative_clock
create_revision
delete_revision
export_assignments
get_all_assignment_names
get_all_global_assignments
get_all_instance_assignments
get_all_parameters
get_all_quartus_defaults
get_all_user_option_names
get_clocks
get_current_project
get_current_revision
get_database_version
get_global_assignment
get_instance_assignment
get_location_assignment
get_name_info
get_names
get_parameter
get_project_revisions
get_top_level_entity
get_user_option
is_database_version_compatible
is_fitter_in_qhd_mode
is_project_open
project_archive
project_clean
project_close
project_exists
project_new
project_open
project_restore
remove_all_global_assignments
remove_all_instance_assignments
remove_all_parameters
resolve_file_path
revision_exists
set_clock_uncertainty
set_current_revision
set_global_assignment
set_high_effort_fmax_optimization_assignments
set_input_delay
set_instance_assignment
set_location_assignment
set_multicycle_assignment
set_output_delay
set_parameter
set_timing_cut_assignment
set_user_option
test_assignment_trait
timegroup