Intel Quartus Prime Default Settings File (.qdf) Definition

The Intel® Quartus® Prime Default Settings File (.qdf) lists all of the default assignment settings. The default setting for an assignment is used until you change the assignment setting from the default setting. Once you change the assignment setting from the default setting, the assignment is shown in the Intel® Quartus® Prime Settings File (.qsf) with the assignment setting you specified. The default assignment setting remains in the Intel® Quartus® Prime Default Settings File, but the setting in the Intel® Quartus® Prime Default Settings File is the only setting processed.

The Intel® Quartus® Prime Default Settings File is located in the <drive>:/quartus/bin directory, but can also exist in the project directory. If the Intel® Quartus® Prime Default Settings File exists in the project directory the values of the Intel® Quartus® Prime Default Settings File in the project directory are used rather than the values of the Intel® Quartus® Prime Default Settings File in the <drive>:/quartus/bin directory.