ID:12006 Node instance "<name>" instantiates undefined entity "<name>". Ensure that required library paths are specified correctly, define the specified entity, or change the instantiation. If this entity represents Intel FPGA or third-party IP, generate the synthesis files for the IP.

CAUSE: You attempted to compile the current design, but the specified node instance instantiates the specified undefined entity.

ACTION: Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf) or Verilog Quartus Mapping (.vqm) files, make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Intel FPGA Knowledge Base contains many articles with specific details on how to resolve this error. Visit the Intel FPGA Knowledge Database and search for this specific error message number.