VHDL Component Declaration
The following VHDL component declaration is located in the VHDL Design File (.vhd) DefinitionLPM_PACK.vhd in the < Quartus® Prime installation directory>\libraries\vhdl\lpm directory.
component LPM_LATCH
generic (LPM_WIDTH : natural; -- MUST be greater than 0
LPM_AVALUE : string := "UNUSED";
LPM_PVALUE : string := "UNUSED";
LPM_TYPE: string := L_LATCH;
LPM_HINT : string := "UNUSED");
port (DATA : in std_logic_vector(LPM_WIDTH-1 downto 0) := (OTHERS => '0');
GATE : in std_logic;
ASET : in std_logic := '0';
ACLR : in std_logic := '0';
ACONST : in std_logic := '0'; -- UNUSED input port, just declared for backward compatibility
Q : out std_logic_vector(LPM_WIDTH-1 downto 0));
end component;