Report Exceptions Dialog Box

You open this dialog box by double-clicking Report Exceptions in the Tasks pane in the TimeQuest Timing Analyzer.

Allows you to configure and display a customized report that details all exceptions set by the Set False Path, Set Multicycle Path, Set Minimum Delay, and Set Maximum Delay commands (and the corresponding Tcl commands: set_false_path, set_multicycle_path, set_min_delay, and set_max_delay.)

Note: You can click the Pushpin button to keep the Report Exceptionsdialog box open after you generate a report. You can use this feature to fine tune your report settings or quickly create additional reports. You can click Close to close the dialog box at any time.