read_sdc (::quartus::sta)

The following table displays information for the read_sdc Tcl command:

Tcl Package and Version

Belongs to ::quartus::sta 1.0

Syntax read_sdc [-h | -help] [-long_help] [-hdl] [-instance <instance_name>]
 
[<file_name>]
Arguments -h | -help Short help
  -long_help Long help with examples and possible return values
-hdl Read SDC commands embedded in HDL
-instance <instance_name> Name of the block for which we are reading the SDC file
<file_name> Name of the SDC file
Description
Reads an SDC file with all current constraints and exceptions.

If an SDC file is specified, read_sdc only reads that SDC file.  If
the -hdl option is specified, read_sdc only reads SDC commands that
were embedded in HDL.

If no arguments are specified, read_sdc reads the default SDC files
along with any SDC commands that were embedded in HDL.  If one or more
SDC_FILE assignments exists in the QSF, read_sdc reads all of them in
order. Otherwise, read_sdc reads the file <revision>.sdc if it exists.
Example Usage
project_new test
create_timing_netlist

# Read SDC commands from test_constraints.sdc
read_sdc test_constraints.sdc

# Read SDC commands embedded in HDL
read_sdc -hdl

update_timing_netlist

report_timing

delete_timing_netlist
project_close
Return Value Code Name Code String Return
  TCL_OK 0 INFO: Operation successful
  TCL_ERROR 1 ERROR: Can't find file <string>
  TCL_ERROR 1 ERROR: Timing netlist does not exist. Use create_timing_netlist to create a timing netlist.