API Functions for Tcl
Tcl functions are part of the software Tcl Application Programming Interface (API) for hdb_debug, mw-regenerate, qacv, qpro, qpro_sh, quartus, quartus_asm, quartus_cdb, quartus_design, quartus_drc, quartus_eda, quartus_fif, quartus_fit, quartus_idb, quartus_ipc, quartus_ipd, quartus_ipgenerate, quartus_map, quartus_mfc, quartus_pdp, quartus_pow, quartus_rtmw, quartus_sh, quartus_si, quartus_sif, quartus_sim, quartus_sta, quartus_stp, quartus_stp_tcl, quartus_syn, and quartus_tan. You can use these functions as Tcl commands.
The commands are grouped in packages. The following is a list of available Tcl packages:
- ::quartus::misc 1.0
- ::quartus::names 1.0
- ::quartus::incremental_compilation 1.1
- ::quartus::report 2.1
- ::quartus::database_manager 1.0
- ::quartus::rtm 1.0
- ::quartus::simulator 1.0
- ::quartus::sdc 1.5
- ::quartus::sdc_ext 1.0
- ::quartus::sta 1.0
- ::quartus::tdc 1.0
- ::quartus::ipgen 1.0
- ::quartus::interactive_synthesis 1.0
- ::quartus::sdc_logical 1.0
- ::quartus::synthesis_report 1.0
- ::quartus::rtl 1.0
- ::quartus::backannotate 1.1
- ::quartus::device 1.0
- ::quartus::fif 1.0
- ::quartus::design 1.0
- ::quartus::flow 1.1
- ::quartus::project 6.0
- ::quartus::partial_reconfiguration 2.0
- ::quartus::project_ui 1.0
- ::quartus::project_tedq 1.0
- ::quartus::qshm 1.0
- ::quartus::iptclgen 1.0
- ::quartus::chip_planner 2.0
- ::quartus::periph 1.0
- ::quartus::external_memif_toolkit 1.0
- ::quartus::insystem_source_probe 1.0
- ::quartus::insystem_memory_edit 1.0
- ::quartus::jtag 1.0
- ::quartus::logic_analyzer_interface 1.0
- ::quartus::stp 1.0
You can also view the list of available Tcl packages and help for each command by launching the Command-Line and Tcl API Help browser from command line or the Quartus Prime Tcl Console, using the command quartus_sh --qhelp
.