To set up a ModelSim project with the ModelSim-Intel FPGA Edition interface

  1. If you have not already done so, set up the ModelSim-Intel FPGA Edition working environment.
  2. Start the ModelSim software.
  3. To specify the project directory:
    1. On the File menu, click Change Directory.
    2. In the Directory Name box, type the path to the project directory .
      or

      In the Look in list, select the project directory.

    3. Click Open.
    Note: If you are performing a functional simulation, the project directory is usually the directory that contains the design files. If you are performing a timing simulation, the project directory is the <project directory>\simulation\modelsim directory or the location you specified in the Output directory box of theSimulation page in the Settings dialog box.
  4. To create a new work library:
    1. On the File menu, point to New and click Library. The Create a New Library dialog box appears.
    2. Under Create, click a new library and a logical mapping to it.
    3. In the Library Name box, type the library name.
    4. In the Library Maps to list, select the work library.
Note:

When you run the ModelSim software independently from the Quartus® Prime software, you should name your library work.