VHDL Component Declaration

The following VHDL component declaration is located in theVHDL Design File (.vhd) DefinitionALTERA_PRIMITIVES_COMPONENTS.VHDlocated in the<Quartus® Prime installation directory>\libraries\vhdl\alteradirectory.

component alt_outbuf_tri_diff

    generic (

        io_standard           : string  := "NONE";

        current_strength      : string  := "NONE";

        slew_rate             : integer := -1;

        location              : string  := "NONE";

        enable_bus_hold       : string  := "NONE";

        weak_pull_up_resistor : string  := "NONE";

        termination           : string  := "NONE";

    port(

        i    : in std_logic;

        oe   : in std_logic;

        o    : out std_logic;

        obar : out std_logic );

end component;