VHDL LIBRARY-USE Declaration

LIBRARY altera;

USE altera.altera_primitives_components.all;