VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) DefinitionALTERA_PRIMITIVES_COMPONENTS.VHD located in the <Quartus® Prime installation directory>\libraries\vhdl\altera directory.

component alt_inbuf_diff

    generic(

        io_standard           : string := "NONE";

        location              : string := "NONE";

        enable_bus_hold       : string := "NONE";

        weak_pull_up_resistor : string := "NONE";

        termination           : string := "NONE";

    port(

        i    : in std_logic;

        ibar : in std_logic;

        o    : out std_logic);

end component;