VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) DefinitionALTERA_PRIMITIVES_COMPONENTS.VHD located in the <Quartus® Prime installation directory>\libraries\vhdl\altera directory.

component alt_inbuf

    generic(

        io_standard           : string := "";

        location              : string := "";

        enable_bus_hold       : string := "";

        weak_pull_up_resistor : string := "";

        termination           : string := "" );

    port(

        i  : in std_logic;

        o  : out std_logic);

end component;