Auto RAM Replacement logic option

A logic option that allows the Compiler to find a set of registers and logic that can be replaced with the altsyncram or the lpm_ram_dp megafunction. Turning on this option may change the functionality of the design.

This option is useful for finding areas of the design that can be implemented more efficiently, and as a result, minimizing the area and maximizing the speed of the design.

This option must be assigned to a design entity or it is ignored. This option is available for all Intel devices supported by the Quartus® Prime software except MAXseries devices.

Scripting Information

Keyword: auto_ram_recognition

Settings:on | off

*default